Dow Electronic Materials

Connectivity

Connectivity provides insights into the materials that are enabling the next generation of electronic devices. Keep your edge with the latest information about recent developments, our product portfolio, and opinions and viewpoints from our industry experts.

Showing all articles related to Semiconductor Manufacturing

Nitta Haas Receives Siltronic's Long-Term Partner Award for Polishing Materials

October 30, 2017

One of the strongest endorsements of a company’s performance and product quality is through industry supplier awards. Dow is proud to announce that its joint venture Nitta Haas has received Siltronic's Long-Term Partner Award for polishing materials.

Read More

Innovation in CMP: The IKONIC™ Polishing Pad Platform

August 29, 2017

The IKONIC™ polishing pad platform has been Dow’s biggest CMP portfolio expansion in recent years, an innovation in advanced pad technology targeting multiple CMP applications. In this interview, Colin Cameron discusses the technology and its value in the marketplace.

Read More

2017 Outlook: Semiconductor Market Forecast Calls for Continued Collaboration

February 16, 2017


The semiconductor market forecast is strong for 2017. Dow's Colin Cameron discusses market drivers and the value of continued collaboration in an editorial for Solid State Technology.

Read More

Dow’s Taiwan CMP Team Honored with Occupational Safety and Health Award

December 13, 2016

Dow Electronic Materials recently received the 2016 Taiwan Occupational Safety and Health Award for its Asia CMP Manufacturing and Technical Center. Read on for pictures from the award ceremony.

Read More

Copper Pillar Electroplating Tutorial

December 08, 2016


This tutorial examines the requirements and processing considerations for electroplated copper pillars used in advanced chip packaging applications. The key aspects of the plating process and the role of each in achieving the desired design and performance goals are described.

Read More

Performance Gains in CMP Slurry for Advanced Semiconductor Nodes

December 07, 2016

In a recent Solid State Technology article, Dow authors report on some of the complexities in chemical mechanical planarization (CMP) processes at advanced semiconductor nodes. Dow’s new OPTIPLANE™ slurry platform demonstrates excellent performance in meeting new process needs.

Read More

Copper Electroplating Fundamentals

November 22, 2016

This tutorial examines the concept of copper electroplating and how the process works. It also discusses its use in advanced packaging applications like the dual damascene process, TSV, copper pillars, and copper RDL, as well as how feature geometry as well as plating time affect how additives behave.

Read More

Technology Spotlight: IKONIC™ 4100 Polishing Pads, R&D; 100 Awards Finalist

November 01, 2016

The IKONIC™ 4100 CMP pad series is a finalist for the 2016 R&D 100 Awards. Hear from our in-house experts about this innovative technology and how it is used in advanced chemical mechanical planarization (CMP). This is part two in a series profiling the R&D 100 Award Finalists from Dow Electronic Materials.

Read More

Technology Spotlight: CTO™ 2000 Trimming Overcoat Named an R&D; 100 Finalist

October 27, 2016

The R&D 100 Awards are one of the highest honors in the research and design community. In this interview, we talk to Dow experts about the innovation behind one of this year’s finalists, CTO™ 2000 Trimming Overcoat, a solution for lithography in semiconductor manufacturing that enables patterning of smaller features than photolithography can do alone.

Read More

CMP Solutions for 10nm and Beyond

October 04, 2016

As manufacturers plan for 10nm and future nodes, requirements for chemical mechanical planarization (CMP) defect levels become increasingly challenging. Due to the stringent CMP requirements for front-end planarization of finFET devices, new materials will be needed to achieve high planarization efficiency and low defect rates, ensuring reliable CMP for the next generation of finFET devices.

Read More