Dow Electronic Materials

Connectivity

Connectivity provides insights into the materials that are enabling the next generation of electronic devices. Keep your edge with the latest information about recent developments, our product portfolio, and opinions and viewpoints from our industry experts.

Showing all articles related to 2.5D/3D IC Packaging

Takeaways from Dow’s ECTC 2016 Presentation on Advancements in Low-Temp Bonding

August 04, 2016

It was clear at ECTC 2016 that advanced packaging is the fastest-growing segment in semiconductor packaging. Dow Electronic Materials contributed to the conference by presenting our work on advancements in low-temperature bonding using electrodeposited indium. This post shares the content we presented at this year’s show.

Read More

Fast, High-purity Cu Plating Enables Next-Gen Devices

April 20, 2016

Copper (Cu) plating of mega pillar

Fan-out wafer-level packages (FOWLP) are poised for adoption in consumer mobile devices while cloud servers are driving the need for 3DIC packages. Copper (Cu) plating forms critical connections from horizontal redistribution layers (RDLs) through vertical pillars. Learn more about Dow’s approach to optimal Cu plating, as presented at the 2016 IMAPS Device Packaging Conference.

Read More

Wafer Bumping Considerations: The importance of the interface between metal layers

March 15, 2016

Capped pillar – intermetallic compound compatibility, metal layer interface

Many new assembly processes are in development, including ultra thinning of wafers to enable stacked die, package-on-package (PoP) and ultra-thin packages. Wafer-level packaging (WLP) to improve reliability and I/O count, ball pitch and routability are also imperative. This post provides an introduction on materials considerations for the interface between metal layers in wafer bump structures.

Read More

2016 Outlook: Trends Driving Packaging Materials Development

January 25, 2016

Smartphone and smartwatch

If 2015 goes down in history as the year of 3D stacked memory, then it looks like 2016 may be remembered as the year for the first big adoptions of FOWLP. Dow’s Rob Kavanagh takes a look at the year ahead and the trends driving advanced packaging materials development.

Read More

Advanced Packaging Materials Adding More Value to ICs

January 22, 2016

Solid State Technology logo

Dow Electronic Materials’ Rob Kavanagh takes a look at the state of materials for advanced packaging in his 2016 Outlook now featured on Solid State Technology.

Read More

Dow’s SOLDERON™ Tin-Silver Plating Chemistry Takes Home an Oscar of Innovation

November 23, 2015

R&D 100 Winner banner for SOLDERON Tin-Silver Plating Chemistry

It’s been a banner year for SOLDERON™ BP TS 6000 Tin-Silver Plating Chemistry, which was recently awarded an R&D; 100 Award, presented by R&D; Magazine in a ceremony at Caesar’s Palace in Las Vegas. This “Oscar of Innovation” takes its place in Dow Electronic Materials’ trophy case, next to the 2015 Edison Award.

Read More

How Laminate Dielectrics Enable Glass Substrates for Advanced Packaging Applications

November 16, 2015

Dielectric

The adoption of glass substrates as a replacement for silicon in interposer integration requires interconnect solutions that reduce the size of signal routing and so impose new demands on the dielectric materials. At IMAPS 2014, Dow presented its solution in a paper titled, “Photopatternable Laminate BCB Dielectric.”

Read More

Thin Wafer Handling Using Mechanical- or Laser-Debondable Temporary Adhesives

October 01, 2015

Thinned-Si-Wafer

While 3D TSVs are being implemented in DRAM stacks, they are still too costly to be implemented in consumer mobile products. This post discusses material and process advancements for temporary wafer bonding, which is the chosen thin wafer handling method for backside processing to optimize yields and bring down 3D TSV costs.

Read More

Self-Priming Low Stress Aqueous Developable Benzocyclobutene Photodielectric Materials for Advanced Wafer Level Packaging

August 18, 2015

Photoimageable polymeric dielectric materials are in need of modification to meet the warpage targets for thinned 300mm wafers used in fan-out wafer level packages, where lower residual stress is required. This presentation discusses a prototype dielectric material developed by Dow’s Advanced Packaging Technologies group that meets these requirements.

Read More

Next-Generation Copper, Nickel and Lead-Free Metallization Products for Next-Generation Devices and Applications

August 15, 2015

Meeting the challenging requirements of next-generation devices destined for Internet of Things applications necessitates metallization products that can address fine feature sizes and geometries of today’s advanced chip and package designs. This presentation details how Dow Electronic Materials has optimized its family of advanced electronics packaging metallization products.

Read More